Рекомендуем

ПЛИС Xilinx. Языки описания аппаратуры VHDL и Verilog, САПР, приемы проектированияТарасов И.Е. ПЛИС Xilinx. Языки описания аппаратуры VHDL и Verilog, САПР, приемы проектирования
Разработка цифровых устройств на основе ПЛИС Xilinx<sup>®</sup> с применением языка VHDLТарасов И.Е. Разработка цифровых устройств на основе ПЛИС Xilinx® с применением языка VHDL350 р.
Проектирование встраиваемых микропроцессорных систем на основе ПЛИС фирмы XILINX<sup>®</sup>Зотов В.Ю. Проектирование встраиваемых микропроцессорных систем на основе ПЛИС фирмы XILINX®

Книга

Современные семейства ПЛИС фирмы Xilinx

Справочное пособие
Серия «Современная электроника»
440 стр.
Формат 60х90/16 (145x215 мм)
Исполнение: в твердом переплете
ISBN 5-93517-189-9
ББК 32.844.1
Аннотация

Книга знакомит с номенклатурой продукции (микросхемы и средства проектирования), выпускаемой фирмой Xilinx® на современном этапе (на начало 2004 г.). Подробно рассмотрены архитектура, особенности и характеристики программируемых логических интегральных схем (ПЛИС) семейств CPLD и FPGA, рекомендуемых для применения в перспективных разработках. Для каждой серии ПЛИС представлены таблицы значений основных временных, частотных и электрических параметров микросхем. Приведено описание методов разработки проектов и загрузки конфигурации в кристалл. Рассмотрены возможности применения периферийного сканирования для контроля функционирования разработанных устройств.

Предназначена для инженерно-технических работников, может быть полезна студентам и аспирантам, специализирующимся в области разработки цифровых устройств.

Оглавление

ПРЕДИСЛОВИЕ

1. ОБЗОР ПРОДУКЦИИ ФИРМЫ XILINX®
1.1. ВВЕДЕНИЕ
1.2. КРАТКАЯ КЛАССИФИКАЦИЯ СОВРЕМЕННЫХ МИКРОСХЕМ ФИРМЫ XILINX
1.2.1. Серия Virtex
1.2.2. Серия Spartan
1.2.3. Серия XC9500
1.2.4. Серия CoolRunner
1.3. КОНФИГУРАЦИОННЫЕ ПЗУ XILINX
1.3.1. Серия Platform Flash
1.3.2. Серия XC1700
1.3.3. Серия XC18V00
1.3.4. Совместимость с ПЛИС FPGA
1.4. ИНСТРУМЕНТАЛЬНЫЕ СРЕДСТВА НА ПЛИС
1.5. КРАТКИЙ ОБЗОР ОСНОВНЫХ СРЕДСТВ ПРОЕКТИРОВАНИЯ ФИРМЫ XILINX

2. ПЛИС FPGA СЕМЕЙСТВА VIRTEX™
2.1. АРХИТЕКТУРА VIRTEX
2.1.1. Быстродействие
2.2. ОПИСАНИЕ АРХИТЕКТУРЫ
2.2.1. Матрица Virtex
2.2.2. Блок ввода-вывода
2.2.3. Конфигурируемый логический блок
2.2.4. Программируемая трассировочная матрица
2.2.5. Распределение сигналов синхронизации
2.2.6. Периферийное сканирование
2.3. КОНФИГУРИРОВАНИЕ КРИСТАЛЛА В УСТРОЙСТВЕ
2.3.1. Режимы конфигурирования
2.3.2. Последовательность конфигурации
2.3.3. Формат потока конфигурационных данных
2.4. ОБРАТНОЕ СЧИТЫВАНИЕ
2.5. ХАРАКТЕРИСТИКИ ПЛИС СЕМЕЙСТВА VIRTEX ПО ПОСТОЯННОМУ ТОКУ
2.6. КОРПУСА
2.7. ОБОЗНАЧЕНИЕ ПЛИС СЕМЕЙСТВА VIRTEX

3. ПЛИС FPGA СЕМЕЙСТВА SPARTAN™-II
3.1. ОСОБЕННОСТИ
3.2. ОБЗОР АРХИТЕКТУРЫ СЕМЕЙСТВА SPARTAN-II
3.2.1. Быстродействие
3.3. ОПИСАНИЕ АРХИТЕКТУРЫ
3.3.1. Матрица Spartan-II
3.3.2. Блок ввода-вывода
3.3.3. Конфигурируемый логический блок
3.3.4. Программируемая трассировочная матрица
3.3.5. Распределение сигналов синхронизации
3.3.6. Периферийное сканирование
3.4. КОНФИГУРИРОВАНИЕ КРИСТАЛЛА В УСТРОЙСТВЕ
3.4.1. Режимы конфигурирования
3.4.2. Сигналы конфигурации
3.4.3. Последовательность конфигурации
3.4.4. Последовательные режимы конфигурации
3.4.5. Подчинeнный параллельный режим (Slave Parallel)
3.4.6. Использование режима периферийного сканирования для конфигурирования Spartan-II
3.5. ОБРАТНОЕ СЧИТЫВАНИЕ
3.6. ХАРАКТЕРИСТИКИ ПЛИС СЕМЕЙСТВА SPARTAN-II ПО ПОСТОЯННОМУ ТОКУ
3.7. КОРПУСА
3.8. ОБОЗНАЧЕНИЕ МИКРОСХЕМ

4. ПЛИС FPGA СЕМЕЙСТВА SPARTAN™-3
4.1. ОБЗОР АРХИТЕКТУРНЫХ ОСОБЕННОСТЕЙ
4.2. БЛОК ВВОДА-ВЫВОДА
4.2.1. Регистровые элементы
4.2.2. Передача данных с удвоенной скоростью
4.2.3. Pull-up и pull-down резисторы
4.2.4. Цепь удержания последнего состояния
4.2.5. Защита от повреждения электростатическим разрядом
4.2.6. Контроль скорости переключения и мощности выходного сигнала
4.2.7. Периферийное сканирование
4.2.8. Технология SelectI/O – поддержка стандартов ввода-вывода
4.2.9. Цифровое управление импедансом – DCI (Digitally Controlled Impedance)
4.2.10. Банки ввода-вывода
4.2.11. Совместимость ПЛИС Spartan-3 в одинаковых типах корпусов
4.2.12. Контакты ввода-вывода в момент включения системы, загрузки конфигурации и в штатном режиме
4.3. КОНФИГУРИРУЕМЫЕ ЛОГИЧЕСКИЕ БЛОКИ
4.4. БЛОЧНАЯ ПАМЯТЬ (BLOCK RAM)
4.4.1. Расположение на кристалле
4.4.2. Внутренняя структура
4.4.3. Описание сигналов
4.4.4. Соотношение разрядностей шин данных и адреса
4.4.5. Функционирование
4.5. БЛОКИ УМНОЖИТЕЛЕЙ
4.6. ЦИФРОВОЙ БЛОК УПРАВЛЕНИЯ СИНХРОНИЗАЦИЕЙ – DCM (DIGITAL CLOCK MANAGER)
4.6.1. Модуль DLL
4.6.2. Модуль DFS
4.6.3. Модуль PS
4.6.4. Модуль SL
4.7. ГЛОБАЛЬНАЯ СЕТЬ ТАКТОВЫХ ЛИНИЙ
4.8. ЛОКАЛЬНЫЕ ЛИНИИ СВЯЗИ
4.9. КОНФИГУРИРОВАНИЕ ПЛИС
4.9.1. Стандартный интерфейс конфигурирования
4.9.2. Интерфейс конфигурирования, совместимый с 3,3-В сигналами
4.9.3. Режимы конфигурирования
4.10. ВРЕМЕННЫЕ ПАРАМЕТРЫ И ПАРАМЕТРЫ СЕМЕЙСТВА SPARTAN-3 ПО ПОСТОЯННОМУ ТОКУ
4.11. ОБОЗНАЧЕНИЕ МИКРОСХЕМ

5. ПЛИС CPLD СЕМЕЙСТВА XC9500
5.1. ОСОБЕННОСТИ СЕМЕЙСТВА
5.2. ОБЗОР СЕМЕЙСТВА
5.3. ОПИСАНИЕ АРХИТЕКТУРЫ СЕМЕЙСТВА XC9500
5.3.1. Функциональный блок
5.3.2. Макроячейка
5.3.3. Распределитель термов
5.3.4. Быстродействующая переключающая матрица
5.3.5. Блок ввода-вывода
5.4. ВОЗМОЖНОСТЬ ЗАКРЕПЛЕНИЯ КОНТАКТОВ
5.5. ПРОГРАММИРОВАНИЕ В СИСТЕМЕ
5.6. ПРОТОКОЛ ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ IEEE 1149.1
5.7. ЗАЩИТА ПРОЕКТА ОТ КОПИРОВАНИЯ
5.8. РЕЖИМ ПОНИЖЕННОГО ПОТРЕБЛЕНИЯ ЭНЕРГИИ
5.9. МОДЕЛЬ ЗАДЕРЖЕК РАСПРОСТРАНЕНИЯ СИГНАЛОВ
5.10. ХАРАКТЕРИСТИКИ ПРИ ВКЛЮЧЕНИИ ПИТАНИЯ
5.11. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРОЕКТИРОВАНИЯ
5.12. ТЕХНОЛОГИЯ ПРОИЗВОДСТВА
5.13. ХАРАКТЕРИСТИКИ СЕМЕЙСТВА XC9500 ПО ПОСТОЯННОМУ ТОКУ
5.14. МИКРОСХЕМА XC9536
5.14.1. Описание
5.14.2. Потребление тока
5.14.3. Динамические параметры
5.14.4. Параметры временной модели
5.14.5. Корпуса
5.14.6. Обозначение микросхем
5.15. МИКРОСХЕМА XC9572
5.15.1. Описание
5.15.2. Потребление тока
5.15.3. Динамические параметры микросхем
5.15.4. Параметры временной модели
5.15.5. Корпуса
5.15.6. Обозначение микросхем
5.16. МИКРОСХЕМА XC95108
5.16.1. Описание
5.16.2. Потребление тока
5.16.3. Динамические параметры микросхем
5.16.4. Параметры временной модели
5.16.5. Корпуса
5.16.6. Обозначение микросхем
5.17. МИКРОСХЕМА XC95144
5.17.1. Описание
5.17.2. Потребление тока
5.17.3. Динамические параметры микросхем
5.17.4. Параметры временной модели
5.17.5. Корпуса
5.17.6. Обозначение микросхем
5.18. МИКРОСХЕМА XC95216
5.18.1. Описание
5.18.2. Потребление тока
5.18.3. Динамические параметры микросхем
5.18.4. Параметры временной модели
5.18.5. Корпуса
5.18.6. Обозначение микросхем
5.19. МИКРОСХЕМА XC95288
5.19.1. Описание
5.19.2. Потребление тока
5.19.3. Динамические параметры микросхем
5.19.4. Параметры временной модели
5.19.5. Корпуса
5.19.6. Обозначение микросхем

6. ПЛИС CPLD СЕМЕЙСТВА XC9500XL
6.1. ОСОБЕННОСТИ
6.2. ОБЗОР СЕМЕЙСТВА
6.3. ОПИСАНИЕ АРХИТЕКТУРЫ СЕМЕЙСТВА XC9500XL
6.3.1. Функциональный блок
6.3.2. Макроячейка
6.3.3. Распределитель термов
6.3.4. Быстродействующая переключающая матрица
6.3.5. Блок ввода-вывода
6.4. ВОЗМОЖНОСТЬ ЗАКРЕПЛЕНИЯ КОНТАКТОВ
6.5. ПРОГРАММИРОВАНИЕ В СИСТЕМЕ
6.6. ПРОТОКОЛ ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ IEEE 1149.1
6.7. ЗАЩИТА ПРОЕКТА ОТ КОПИРОВАНИЯ
6.8. РЕЖИМ ПОНИЖЕННОГО ПОТРЕБЛЕНИЯ ЭНЕРГИИ
6.9. МОДЕЛЬ ЗАДЕРЖЕК РАСПРОСТРАНЕНИЯ СИГНАЛОВ
6.10. ХАРАКТЕРИСТИКИ ПРИ ВКЛЮЧЕНИИ ПИТАНИЯ
6.11. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРОЕКТИРОВАНИЯ
6.12. ТЕХНОЛОГИЯ ПРОИЗВОДСТВА
6.13. ХАРАКТЕРИСТИКИ СЕМЕЙСТВА XC9500XL ПО ПОСТОЯННОМУ ТОКУ
6.14. МИКРОСХЕМА XC9536XL
6.14.1. Описание
6.14.2. Потребление тока
6.14.3. Динамические параметры
6.14.4. Параметры временной модели
6.14.5. Корпуса
6.14.6. Обозначение микросхем
6.15. МИКРОСХЕМА XC9572XL
6.15.1. Описание
6.15.2. Потребление тока
6.15.3. Динамические параметры
6.15.4. Параметры временной модели
6.15.5. Корпуса
6.15.6. Обозначение микросхем
6.16. МИКРОСХЕМА XC95144XL
6.16.1. Описание
6.16.2. Потребление тока
6.16.3. Динамические параметры
6.16.4. Параметры временной модели
6.16.5. Корпуса
6.16.6. Обозначение микросхем
6.17. МИКРОСХЕМА XC95288XL
6.17.1. Описание
6.17.2. Потребление тока
6.17.3. Динамические параметры
6.17.4. Параметры временной модели
6.17.5. Корпуса
6.17.6. Обозначение микросхем

7. ПЛИС CPLD СЕМЕЙСТВА XC9500XV
7.1. ОСОБЕННОСТИ
7.2. ОБЗОР СЕМЕЙСТВА
7.3. ОПИСАНИЕ АРХИТЕКТУРЫ СЕМЕЙСТВА XC9500XV
7.3.1. Функциональный блок
7.3.2. Макроячейка
7.3.3. Распределитель термов
7.3.4. Быстродействующая переключающая матрица
7.3.5. Блок ввода-вывода
7.3.6. Банки ввода-вывода
7.4. ВОЗМОЖНОСТЬ ЗАКРЕПЛЕНИЯ КОНТАКТОВ
7.5. ПРОГРАММИРОВАНИЕ В СИСТЕМЕ
7.6. ПРОТОКОЛ ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ IEEE 1149.1
7.7. ЗАЩИТА ПРОЕКТА ОТ КОПИРОВАНИЯ
7.8. РЕЖИМ ПОНИЖЕННОГО ПОТРЕБЛЕНИЯ ЭНЕРГИИ
7.9. МОДЕЛЬ ЗАДЕРЖЕК РАСПРОСТРАНЕНИЯ СИГНАЛОВ
7.10. ХАРАКТЕРИСТИКИ ПРИ ВКЛЮЧЕНИИ ПИТАНИЯ
7.11. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРОЕКТИРОВАНИЯ
7.12. ХАРАКТЕРИСТИКИ СЕМЕЙСТВА XC9500XV ПО ПОСТОЯННОМУ ТОКУ
7.13. МИКРОСХЕМА XC9536XV
7.13.1. Описание
7.13.2. Потребление тока
7.13.3. Динамические параметры
7.13.4. Параметры временной модели
7.13.5. Корпуса
7.13.6. Обозначение микросхем
7.14. МИКРОСХЕМА XC9572XV
7.14.1. Описание
7.14.2. Потребление тока
7.14.3. Динамические параметры
7.14.4. Параметры временной модели
7.14.5. Корпуса
7.14.6. Обозначение микросхем XC9572XV
7.15. МИКРОСХЕМА XC95144XV
7.15.1. Описание
7.15.2. Потребление тока
7.15.3. Динамические параметры
7.15.4. Параметры временной модели
7.15.5. Корпуса
7.15.6. Обозначение микросхем
7.16. МИКРОСХЕМА XC95288XV
7.16.1. Описание
7.16.2. Потребление тока
7.16.3. Динамические параметры микросхем
7.16.4. Параметры временной модели
7.16.5. Корпуса 323
7.16.6. Обозначение микросхем XC95288XV

8. ПЛИС CPLD СЕМЕЙСТВА COOLRUNNER XPLA3
8.1. ОСОБЕННОСТИ
8.2. ОБЩАЯ ХАРАКТЕРИСТИКА
8.3. АРХИТЕКТУРА
8.3.1. Структура функционального блока
8.3.2. Структура макроячейки
8.3.3. Ячейка ввода-вывода
8.4. ВРЕМЕННАЯ МОДЕЛЬ
8.5. ПРОГРАММИРОВАНИЕ И ПЕРИФЕРИЙНОЕ СКАНИРОВАНИЕ
8.5.1. JTAG-интерфейс
8.5.2. Контакт разрешения JTAG-порта
8.5.3. Команды периферийного сканирования, поддерживаемые ПЛИС семейства CoolRunner XPLA3
8.5.4. Программирование/перепрограммирование в системе
8.6. ПРЕДЕЛЬНО ДОПУСТИМЫЕ И РЕКОМЕНДУЕМЫЕ РЕЖИМЫ ЭКСПЛУАТАЦИИ

9. ПЛИС CPLD СЕМЕЙСТВА COOLRUNNER-II
9.1. ОСОБЕННОСТИ
9.2. ОБЩАЯ ХАРАКТЕРИСТИКА
9.3. АРХИТЕКТУРА
9.3.1. Формирование тактовых сигналов с использованием встроенного делителя частоты
9.3.2. Применение методики CoolCLOCK
9.3.3. Реализация технологии DataGATE в ПЛИС семейства CoolRunner-II
9.3.4. Использование встроенного триггера Шмитта во входных цепях проектируемого устройства
9.3.5. Использование встроенного подтягивающего резистора pull-up в блоках ввода-вывода
9.3.6. Активизация схемы удержания последнего состояния Bus Hold
9.3.7. Программирование стандартов ввода-вывода для пользовательских контактов кристаллов
9.3.8. Формирование выходов с открытым стоком
9.4. ВРЕМЕННАЯ МОДЕЛЬ ПЛИС СЕМЕЙСТВА COOLRUNNER-II
9.5. ОРГАНИЗАЦИЯ ПРОГРАММИРОВАНИЯ И ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ КРИСТАЛЛОВ СЕМЕЙСТВА COOLRUNNER-II
9.6. ФУНКЦИОНИРОВАНИЕ ПРИ ВКЛЮЧЕНИИ НАПРЯЖЕНИЯ ПИТАНИЯ
9.7. ПРЕДЕЛЬНО ДОПУСТИМЫЕ И РЕКОМЕНДУЕМЫЕ РЕЖИМЫ ЭКСПЛУАТАЦИИ ПЛИС СЕМЕЙСТВА COOLRUNNER-II
9.8. СПЕЦИФИКАЦИЯ УРОВНЕЙ ВХОДНЫХ И ВЫХОДНЫХ СИГНАЛОВ
9.9. ДИНАМИЧЕСКИЕ ХАРАКТЕРИСТИКИ ВЫХОДНЫХ СИГНАЛОВ ДЛЯ РАЗЛИЧНЫХ СТАНДАРТОВ ВВОДА-ВЫВОДА
9.10. СИСТЕМА ОБОЗНАЧЕНИЙ И МАРКИРОВКА КРИСТАЛЛОВ СЕМЕЙСТВА COOLRUNNER-II
9.11. ДИНАМИЧЕСКИЕ ПАРАМЕТРЫ ПЛИС СЕМЕЙСТВА COOLRUNNER-II
9.12. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C32
9.13. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C64
9.14. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C128
9.15. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C256
9.16. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C384
9.17. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C512

ЛИТЕРАТУРА